Sciweavers

PATMOS   2015 International Workshop on Power and Timing Modeling
Wall of Fame | Most Viewed PATMOS-2015 Paper
PATMOS
2015
Springer
8 years 12 days ago
Tejas: A java based versatile micro-architectural simulator
—In this paper, we present the design of a new Java based, cycle-accurate, heterogeneous architectural simulator, Tejas. Tejas is a trace driven simulator, which is platformindep...
Smruti R. Sarangi, Rajshekar Kalayappan, Prathmesh...
Disclaimer and Copyright Notice
Sciweavers respects the rights of all copyright holders and in this regard, authors are only allowed to share a link to their preprint paper on their own website. Every contribution is associated with a desciptive image. It is the sole responsibility of the authors to ensure that their posted image is not copyright infringing. This service is compliant with IEEE copyright.
IdReadViewsTitleStatus
1Download preprint from source28
2Download preprint from source26
3Download preprint from source26