Sciweavers

ISCA
2012
IEEE

VRSync: Characterizing and eliminating synchronization-induced voltage emergencies in many-core processors

11 years 6 months ago
VRSync: Characterizing and eliminating synchronization-induced voltage emergencies in many-core processors
Power consumption is a primary concern for microprocessor designers. Lowering the supply voltage of processors is one of the most effective techniques for improving their energy efficiency. Unfortunately, low-voltage operation faces multiple challenges going forward. One such challenge is increased sensitivity to voltage fluctuations, which can trigger so-called “voltage emergencies” that can lead to errors. These fluctuations are caused by abrupt changes in power demand, triggered by processor activity variation as a function of workload. This paper examines the effects of voltage fluctuations on future many-core processors. With the increase in the number of cores in a chip, the effects of chip-wide activity fluctuation – such as that caused by global synchronization in multithreaded applications – overshadow the effects of core-level workload variability. Starting from this observation, we developed VRSync, a novel synchronization methodology that uses emergency-aware ...
Timothy N. Miller, Renji Thomas, Xiang Pan, Radu T
Added 28 Sep 2012
Updated 28 Sep 2012
Type Journal
Year 2012
Where ISCA
Authors Timothy N. Miller, Renji Thomas, Xiang Pan, Radu Teodorescu
Comments (0)