Sciweavers

SBACPAD
2015
IEEE

Watt Watcher: Fine-Grained Power Estimation for Emerging Workloads

8 years 2 days ago
Watt Watcher: Fine-Grained Power Estimation for Emerging Workloads
—Extensive research has focused on estimating power to guide advances in power management schemes, thermal hot spots, and voltage noise. However, simulated power models are slow and struggle with deep software stacks, while direct measurements are typically coarse-grained. This paper introduces WattWatcher, a multicore power measurement framework that offers fine-grained functional unit breakdowns. WattWatcher operates by passing event counts and a hardware descriptor file into configurable back-end power models based on McPAT. Researchers and vendors can add other processors to our tool by mapping to the WattWatcher interface. We show that WattWatcher, when calibrated, has a MAPE (mean absolute percentage error) of 2.67% aggregated over all benchmarks when compared to measured power consumption on SPEC CPU 2006 and multithreaded PARSEC benchmarks across three different machines of various form factors and manufacturing processes. We present two use cases showing how WattWatcher c...
Michael LeBeane, Jee Ho Ryoo, Reena Panda, Lizy Ku
Added 17 Apr 2016
Updated 17 Apr 2016
Type Journal
Year 2015
Where SBACPAD
Authors Michael LeBeane, Jee Ho Ryoo, Reena Panda, Lizy Kurian John
Comments (0)