Sciweavers

37 search results - page 3 / 8
» An architecture for software defined cognitive radio
Sort
View
SIPS
2007
IEEE
13 years 11 months ago
Design and Analysis of LDPC Decoders for Software Defined Radio
Low Density Parity Check (LDPC) codes are one of the most promising error correction codes that are being adopted by many wireless standards. This paper presents a case study for ...
Sangwon Seo, Trevor N. Mudge, Yuming Zhu, Chaitali...
WSTFEUS
2003
IEEE
13 years 10 months ago
SCA-based Component Framework for Software Defined Radio
SCA (Software Communication Architecture), which has been adopted as a SDR (Software Defined Radio) Forum standard, provides a framework that successfully exploits common design p...
Saehwa Kim, Jamison Masse, Seongsoo Hong, Naehyuck...
HUMAN
2003
Springer
13 years 10 months ago
Dynamic Deployment of Software Defined Radio Components for Mobile Wireless Internet Applications
Abstract. Software Defined Radio (SDR) is a key enabling technology for mobile wireless Internet. SDR represents unique opportunity to provide Internet connectivity to handheld dev...
Saehwa Kim, Jamison Masse, Seongsoo Hong
DATE
2008
IEEE
217views Hardware» more  DATE 2008»
13 years 12 months ago
A Coarse-Grained Array based Baseband Processor for 100Mbps+ Software Defined Radio
The Software-Defined Radio (SDR) concept aims to enabling costeffective multi-mode baseband solutions for wireless terminals. However, the growing complexity of new communication ...
Bruno Bougard, Bjorn De Sutter, Sebastien Rabou, D...
TWC
2008
172views more  TWC 2008»
13 years 5 months ago
A Software-Defined Radio System for Backscatter Sensor Networks
Backscatter radio is proposed for sensor networks. In that way, the transmitter for each sensor is simplified to a transistor connected to an antenna and therefore, the cost for ea...
Giovanni Vannucci, Aggelos Bletsas, Darren Leigh