Sciweavers

4 search results - page 1 / 1
» Applying Decay Strategies to Branch Predictors for Leakage E...
Sort
View
ICCD
2002
IEEE
132views Hardware» more  ICCD 2002»
14 years 2 months ago
Applying Decay Strategies to Branch Predictors for Leakage Energy Savings
With technology advancing toward deep submicron, leakage energy is of increasing concern, especially for large onchip array structures such as caches and branch predictors. Recent...
Zhigang Hu, Philo Juang, Kevin Skadron, Douglas W....
IPPS
2007
IEEE
13 years 11 months ago
Leakage Energy Reduction in Value Predictors through Static Decay
As process technology advances toward deep submicron (below 90nm), static power becomes a new challenge to address for energy-efficient high performance processors, especially for...
Juan M. Cebrian, Juan L. Aragón, José...
CF
2007
ACM
13 years 9 months ago
Adaptive VP decay: making value predictors leakage-efficient designs for high performance processors
Energy-efficient microprocessor designs are one of the major concerns in both high performance and embedded processor domains. Furthermore, as process technology advances toward d...
Juan M. Cebrian, Juan L. Aragón, José...
ISVLSI
2008
IEEE
143views VLSI» more  ISVLSI 2008»
13 years 11 months ago
BTB Access Filtering: A Low Energy and High Performance Design
Powerful branch predictors along with a large branch target buffer (BTB) are employed in superscalar processors for instruction-level parallelism exploitation. However, the large ...
Shuai Wang, Jie Hu, Sotirios G. Ziavras