Sciweavers

2 search results - page 1 / 1
» Cherry: checkpointed early resource recycling in out-of-orde...
Sort
View
MICRO
2002
IEEE
103views Hardware» more  MICRO 2002»
13 years 9 months ago
Cherry: checkpointed early resource recycling in out-of-order microprocessors
This paper presents CHeckpointed Early Resource RecYcling (Cherry), a hybrid mode of execution based on ROB and checkpointing that decouples resource recycling and instruction ret...
José F. Martínez, Jose Renau, Michae...