Sciweavers

109 search results - page 2 / 22
» Crosstalk noise in FPGAs
Sort
View
ASPDAC
2004
ACM
114views Hardware» more  ASPDAC 2004»
13 years 10 months ago
Layer assignment for crosstalk risk minimization
— In ultra-deep submicron technology, crosstalk noise is so severe that crosstalk avoidance merely in detailed routing is not adequate and it has to be considered in earlier desi...
Di Wu, Jiang Hu, Rabi N. Mahapatra, Min Zhao
ASPDAC
1999
ACM
143views Hardware» more  ASPDAC 1999»
13 years 9 months ago
Crosstalk Reduction by Transistor Sizing
In this paper we consider transistor sizing to reduce crosstalk. First, crosstalk noise dependency on wire width, wire spacing, driver and receiver sizes are discussed, and valida...
Tong Xiao, Malgorzata Marek-Sadowska
ISPD
1999
ACM
92views Hardware» more  ISPD 1999»
13 years 9 months ago
Crosstalk constrained global route embedding
- Route Embedding, a new method for mitigating the impact of crosstalk, is presented. It modifies a set of global-route structures to prevent timing and noise-margin violations ca...
Phiroze N. Parakh, Richard B. Brown
ISQED
2003
IEEE
102views Hardware» more  ISQED 2003»
13 years 10 months ago
Modeling Crosstalk Induced Delay
The amplitude of coupled noise is often used in estimating the crosstalk effect. Coupling noise-induced delay measures the impact of crosstalk on circuit performance. Efficient c...
Chung-Kuan Tsai, Malgorzata Marek-Sadowska
DELTA
2008
IEEE
13 years 11 months ago
Compact Models for Signal Transient and Crosstalk Noise of Coupled RLC Interconnect Lines with Ramp Inputs
Analytical compact form models for the signal transient and crosstalk noise of two-coupled RLC lines are developed. Capacitive and inductive coupling effects are investigated and ...
Taehoon Kim, Dongchul Kim, Jung-A Lee, Yungseon Eo