Sciweavers

26 search results - page 6 / 6
» Evaluating Stream Buffers as a Secondary Cache Replacement
Sort
View
DATE
2002
IEEE
100views Hardware» more  DATE 2002»
13 years 9 months ago
AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors
This paper describes the AccuPower toolset -- a set of simulation tools accurately estimating the power dissipation within a superscalar microprocessor. AccuPower uses a true hard...
Dmitry Ponomarev, Gurhan Kucuk, Kanad Ghose