Sciweavers

DATE
2002
IEEE

AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors

13 years 9 months ago
AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors
This paper describes the AccuPower toolset -- a set of simulation tools accurately estimating the power dissipation within a superscalar microprocessor. AccuPower uses a true hardware level and cycle level microarchitectural simulator and energy dissipation coefficients gleaned from SPICE measurements of actual CMOS layouts of critical datapath components. Transition counts can be obtained at the level of bits within data and instruction streams, at the level of registers, or at the level of larger building blocks (such as caches, issue queue, reorder buffer, function units). This allows for an accurate estimation of switching activity at any desired level of resolution. The toolsuite implements several variants of superscalar datapath designs in use today and permits the exploration of design choices at the microarchitecture level as well as the circuit level, including the use of voltage and frequency scaling. In particular, the AccuPower toolsuite includes detailed implementations ...
Dmitry Ponomarev, Gurhan Kucuk, Kanad Ghose
Added 14 Jul 2010
Updated 14 Jul 2010
Type Conference
Year 2002
Where DATE
Authors Dmitry Ponomarev, Gurhan Kucuk, Kanad Ghose
Comments (0)