Sciweavers

2 search results - page 1 / 1
» Layout-aware scan chain reorder for launch-off-shift transit...
Sort
View
TODAES
2008
42views more  TODAES 2008»
13 years 5 months ago
Layout-aware scan chain reorder for launch-off-shift transition test coverage
Sying-Jyan Wang, Kuo-Lin Peng, Kuang-Cyun Hsiao, K...
ASPDAC
2007
ACM
107views Hardware» more  ASPDAC 2007»
13 years 9 months ago
A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture
Abstract-- In this paper, a technique that can efficiently reduce peak and average switching activity during test application is proposed. The proposed method does not require any ...
Seongmoon Wang, Wenlong Wei