Sciweavers

23 search results - page 2 / 5
» Pipeline Gating: Speculation Control for Energy Reduction
Sort
View
HIPEAC
2007
Springer
13 years 11 months ago
Fetch Gating Control Through Speculative Instruction Window Weighting
In a dynamic reordering superscalar processor, the front-end fetches instructions and places them in the issue queue. Instructions are then issued by the back-end execution core. T...
Hans Vandierendonck, André Seznec
HPCA
2004
IEEE
14 years 5 months ago
Perceptron-Based Branch Confidence Estimation
Pipeline gating has been proposed for reducing wasted speculative execution due to branch mispredictions. As processors become deeper or wider, pipeline gating becomes more import...
Haitham Akkary, Srikanth T. Srinivasan, Rajendar K...
ICCD
2002
IEEE
151views Hardware» more  ICCD 2002»
14 years 1 months ago
Adaptive Pipeline Depth Control for Processor Power-Management
A method of managing the power consumption of an embedded, single-issue processor by controlling its pipeline depth is proposed. The execution time will be increased but, if the m...
Aristides Efthymiou, Jim D. Garside
EUROPAR
2005
Springer
13 years 10 months ago
Value Compression for Efficient Computation
A processor’s energy consumption can be reduced by compressing values (data and addresses) that flow through a processor pipeline and gating off portions of data path elements th...
Ramon Canal, Antonio González, James E. Smi...
ISPDC
2003
IEEE
13 years 10 months ago
Hardware-based Power Management for Real-Time Applications
— This paper presents a new power management technique integrated into a multithreaded microcontroller with builtin real-time scheduling schemes. Power management is done by hard...
Sascha Uhrig, Theo Ungerer