Sciweavers

HIPEAC
2007
Springer

Fetch Gating Control Through Speculative Instruction Window Weighting

13 years 10 months ago
Fetch Gating Control Through Speculative Instruction Window Weighting
In a dynamic reordering superscalar processor, the front-end fetches instructions and places them in the issue queue. Instructions are then issued by the back-end execution core. Till recently, the front-end was designed to maximize performance without considering energy consumption. The front-end fetches instructions as fast as it can until it is stalled by a filled issue queue or some other blocking structure. This approach wastes energy: (i) speculative execution causes many wrong-path instructions to be fetched and executed, and (ii) back-end execution rate is usually less than its peak rate, but front-end structures are dimensioned to sustained peak performance. Dynamically reducing the frontend instruction rate and the active size of front-end structure (e.g. issue queue) is a required performance-energy trade-off. Techniques proposed in the literature attack only one of these effects. In this paper, we propose Speculative Instruction Window Weighting (SIWW), a fetch gating te...
Hans Vandierendonck, André Seznec
Added 07 Jun 2010
Updated 07 Jun 2010
Type Conference
Year 2007
Where HIPEAC
Authors Hans Vandierendonck, André Seznec
Comments (0)