Sciweavers

17 search results - page 1 / 4
» Power performance hardware optimization for synchronization ...
Sort
View
DATE
2006
IEEE
66views Hardware» more  DATE 2006»
13 years 10 months ago
Power/performance hardware optimization for synchronization intensive applications in MPSoCs
This paper explores optimization techniques of the synchronization mechanisms for MPSoCs based on complex interconnect (Network-on-Chip), targeted at future powerefficient system...
Matteo Monchiero, Gianluca Palermo, Cristina Silva...
ICCAD
2005
IEEE
131views Hardware» more  ICCAD 2005»
14 years 1 months ago
Code restructuring for improving cache performance of MPSoCs
— One of the critical goals in code optimization for MPSoC architectures is to minimize the number of off-chip memory accesses. This is because such accesses can be extremely cos...
Guilin Chen, Mahmut T. Kandemir
DATE
2005
IEEE
133views Hardware» more  DATE 2005»
13 years 10 months ago
Locality-Aware Process Scheduling for Embedded MPSoCs
Utilizing on-chip caches in embedded multiprocessorsystem-on-a-chip (MPSoC) based systems is critical from both performance and power perspectives. While most of the prior work th...
Mahmut T. Kandemir, Guilin Chen
JSA
2010
173views more  JSA 2010»
12 years 11 months ago
Hardware/software support for adaptive work-stealing in on-chip multiprocessor
During the past few years, embedded digital systems have been requested to provide a huge amount of processing power and functionality. A very likely foreseeable step to pursue th...
Quentin L. Meunier, Frédéric P&eacut...
DATE
2009
IEEE
132views Hardware» more  DATE 2009»
13 years 11 months ago
An efficent dynamic multicast routing protocol for distributing traffic in NOCs
Nowadays, in MPSoCs and NoCs, multicast protocol is significantly used for many parallel applications such as cache coherency in distributed shared-memory architectures, clock sync...
Masoumeh Ebrahimi, Masoud Daneshtalab, Mohammad Ho...