Sciweavers

21 search results - page 3 / 5
» The Iso-Level Scheduling Heuristic for Heterogeneous Process...
Sort
View
PDP
2011
IEEE
12 years 10 months ago
Energy-Aware Task Allocation for Network-on-Chip Based Heterogeneous Multiprocessor Systems
—Energy-efficiency is becoming one of the most critical issues in embedded system design. In Network-on-Chip (NoC) based heterogeneous Multiprocessor Systems, the energy consump...
Jia Huang, Christian Buckl, Andreas Raabe, Alois K...
PDP
2005
IEEE
13 years 11 months ago
Independent and Divisible Tasks Scheduling on Heterogeneous Star-shaped Platforms with Limited Memory
In this paper, we consider the problem of allocating and scheduling a collection of independent, equal-sized tasks on heterogeneous star-shaped platforms. We also address the same...
Olivier Beaumont, Arnaud Legrand, Loris Marchal, Y...
RSP
2007
IEEE
143views Control Systems» more  RSP 2007»
14 years 13 days ago
Heuristics for Dynamic Task Mapping in NoC-based Heterogeneous MPSoCs
Multiprocessor Systems-on-Chip (MPSoCs) is a trend in VLSI design, since they minimize the “design crisis” (gap between silicon technology and actual SoC design capacity) and ...
Ewerson Carvalho, Ney Calazans, Fernando Moraes
LCPC
2004
Springer
13 years 11 months ago
Power-Aware Scheduling for Parallel Security Processors with Analytical Models
Techniques to reduce power dissipation for embedded systems have recently come into sharp focus in the technology development. Among these techniques, dynamic voltage scaling (DVS)...
Yung-Chia Lin, Yi-Ping You, Chung-Wen Huang, Jenq ...
RTCSA
2003
IEEE
13 years 11 months ago
An Approximation Algorithm for Broadcast Scheduling in Heterogeneous Clusters
Network of workstation (NOW) is a cost-effective alternative to massively parallel supercomputers. As commercially available off-theshelf processors become cheaper and faster, it...
Pangfeng Liu, Da-Wei Wang, Yi-Heng Guo