Sciweavers

18 search results - page 1 / 4
» Toward Quality EDA Tools and Tool Flows Through High-Perform...
Sort
View
ISQED
2005
IEEE
140views Hardware» more  ISQED 2005»
13 years 10 months ago
Toward Quality EDA Tools and Tool Flows Through High-Performance Computing
As the scale and complexity of VLSI circuits increase, Electronic Design Automation (EDA) tools become much more sophisticated and are held to increasing standards of quality. New...
Aaron N. Ng, Igor L. Markov
PPAM
2007
Springer
13 years 10 months ago
Enhancing Productivity in High Performance Computing through Systematic Conditioning
Abstract. In order to take full advantage of high-end computing platforms, scientific applications often require modifications to source codes, and to their build systems that ge...
Magdalena Slawiñska, Jaroslaw Slawinski, Va...
DAC
2006
ACM
14 years 5 months ago
Design tools for reliability analysis
Recent progress in EDA tools allows IC designs to be accurately verified with consequent improvements in yield and performance through reduced guard bands. This paper will present...
Zhihong Liu, Bruce McGaughy, James Z. Ma
ASPDAC
2011
ACM
297views Hardware» more  ASPDAC 2011»
12 years 8 months ago
CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits
3-D monolithic integration (3DMI), also termed as sequential integration, is a potential technology for future gigascale circuits. Since the device layers are processed in sequent...
Shashikanth Bobba, Ashutosh Chakraborty, Olivier T...
IMC
2004
ACM
13 years 10 months ago
Introducing scalability in network measurement: toward 10 Gbps with commodity hardware
The capacity of today's network links, along with the heterogeneity of their traffic, is rapidly growing, more than the workstation’s processing power. This makes the task ...
Loris Degioanni, Gianluca Varenni