Sciweavers

ISQED
2005
IEEE

Toward Quality EDA Tools and Tool Flows Through High-Performance Computing

13 years 10 months ago
Toward Quality EDA Tools and Tool Flows Through High-Performance Computing
As the scale and complexity of VLSI circuits increase, Electronic Design Automation (EDA) tools become much more sophisticated and are held to increasing standards of quality. Newgeneration EDA tools must work correctly on a wider range of inputs, have more internal states, take more effort to develop, and offer fertile ground for programming mistakes. Ensuring quality of a commercial tool in realistic design flows requires rigorous simulation, non-trivial computational resources, accurate reporting of results and insightful analysis. However, time-to-market pressures encourage EDA engineers and chip designers to look elsewhere. Thus, the recent availability of cheap Linux clusters and grids shifts the bottleneck from hardware to logistical tasks, i.e., the speedy collection, reporting and analysis of empirical results. To be practically feasible, such tasks must be automated; they leverage high-performance computing to improve EDA tools. In this work we outline a possible infrastruc...
Aaron N. Ng, Igor L. Markov
Added 25 Jun 2010
Updated 25 Jun 2010
Type Conference
Year 2005
Where ISQED
Authors Aaron N. Ng, Igor L. Markov
Comments (0)