Sciweavers

ITC
1992
IEEE
90views Hardware» more  ITC 1992»
13 years 9 months ago
ScanBIST: A Multi-frequency Scan-based BIST Method
This paper presents a BIST technique that allows the synchronization of multiple scan chains clocked at different frequencies. The technique is used to improve performance testing...
Benoit Nadeau-Dostie, Dwayne Burek, Abu S. M. Hass...
GLVLSI
2005
IEEE
118views VLSI» more  GLVLSI 2005»
13 years 10 months ago
High-diagnosability online built-in self-test of FPGAs via iterative bootstrapping
We develop a novel on-line built-in self-test (BIST) technique for testing FPGAs that has a very high diagnosability even in presence of clustered faults, a fault pattern for whic...
Vishal Suthar, Shantanu Dutt