Sciweavers

ICCD
1993
IEEE
111views Hardware» more  ICCD 1993»
13 years 9 months ago
Ravel-XL: A Hardware Accelerator for Assigned-Delay Compiled-Code Logic Gate Simulation
Ravel-XL is a single-boardhardware accelerator for gate-level digital logic simulation. It uses a standard levelizedcode approach to statically schedule gate evaluations.However, u...
Michael A. Riepe, João P. Marques Silva, Ka...
SIGCSE
2009
ACM
139views Education» more  SIGCSE 2009»
14 years 5 months ago
Abstraction and extensibility in digital logic simulation software
ion and Extensibility in Digital Logic Simulation Software Richard M. Salter and John L. Donaldson Computer Science Department Oberlin College Oberlin, OH 44074 rms@cs.oberlin.edu,...
Richard M. Salter, John L. Donaldson