Sciweavers

ISCA
2003
IEEE
124views Hardware» more  ISCA 2003»
13 years 9 months ago
Banked Multiported Register Files for High-Frequency Superscalar Microprocessors
Multiported register files are a critical component of high-performance superscalar microprocessors. Conventional multiported structures can consume significant power and die ar...
Jessica H. Tseng, Krste Asanovic