Sciweavers

MICRO
2000
IEEE
107views Hardware» more  MICRO 2000»
13 years 8 months ago
Register integration: a simple and efficient implementation of squash reuse
Register integration (or simply integration) is a mechanism for incorporating speculative results directly into a sequential execution using data-dependence relationships. In this...
Amir Roth, Gurindar S. Sohi