Sciweavers

MICRO
2006
IEEE
71views Hardware» more  MICRO 2006»
13 years 4 months ago
Efficient Runahead Execution: Power-Efficient Memory Latency Tolerance
Runahead execution improves memory latency tolerance without significantly increasing processor complexity. Unfortunately, a runahead execution processor executes significantly mo...
Onur Mutlu, Hyesoon Kim, Yale N. Patt