Sciweavers

EMSOFT
2005
Springer

A sink-n-hoist framework for leakage power reduction

13 years 10 months ago
A sink-n-hoist framework for leakage power reduction
Power leakage constitutes an increasing fraction of the total power consumption in modern semiconductor technologies. Recent research efforts have tried to integrate architecture and compiler solutions to employ power-gating mechanisms to reduce leakage power. This approach is to have compilers perform data-flow analysis and insert instructions at programs to shut down and wake up components whenever appropriate for power reductions. While this approach has been shown to be effective in early studies, there are concerns for the amount of power-control instructions being added to programs with the increasing amount of components equipped with power-gating control in a SoC design platform. In this paper, we present a Sink-N-Hoist framework in the compiler solution to generate balanced scheduling of power-gating instructions. Our solution will attempt to merge power-gating instructions as one compound instruction. Therefore, it will reduce the amount of power-gating instructions issue...
Yi-Ping You, Chung-Wen Huang, Jenq Kuen Lee
Added 27 Jun 2010
Updated 27 Jun 2010
Type Conference
Year 2005
Where EMSOFT
Authors Yi-Ping You, Chung-Wen Huang, Jenq Kuen Lee
Comments (0)