Sciweavers

FPL
2003
Springer

Hardware Implementations of Real-Time Reconfigurable WSAT Variants

13 years 9 months ago
Hardware Implementations of Real-Time Reconfigurable WSAT Variants
Local search methods such as WSAT have proven to be successful for solving SAT problems. In this paper, we propose two host-FPGA (Field Programmable Gate Array) co-implementations, which use modified WSAT algorithms to solve SAT problems. Our implementations are reconfigurable in real-time for different problem instances. On an XCV1000 FPGA chip, SAT problems up to 100 variables and 220 clauses can be solved. The first implementation is based on a random strategy and achieves one flip per clock cycle through the use of pipelining. The second uses a greedy heuristic at the expense of FPGA space consumption, which precludes pipelining. Both of the two implementations avoid re-synthesis, placement, routing for different SAT problems, and show improved performance over previously published reconfigurable SAT implementations on FPGAs.
Roland H. C. Yap, Stella Z. Q. Wang, Martin Henz
Added 06 Jul 2010
Updated 06 Jul 2010
Type Conference
Year 2003
Where FPL
Authors Roland H. C. Yap, Stella Z. Q. Wang, Martin Henz
Comments (0)