Sciweavers

DAC
2010
ACM

Performance yield-driven task allocation and scheduling for MPSoCs under process variation

13 years 8 months ago
Performance yield-driven task allocation and scheduling for MPSoCs under process variation
With the ever-increasing transistor variability in CMOS technology, it is essential to integrate variation-aware performance analysis into the task allocation and scheduling process to improve its performance yield when building today’s multiprocessor system-ona-chip (MPSoC). Existing solutions assume that the execution times of tasks performed on different processors are statistically independent, which ignores the spatial correlation characteristics for systematic variation. In addition, a unified task schedule is constructed at design stage and applied to all products with various variation effects, which restricts the maximum performance yield that can be achieved for MPSoC products. To tackle the above problems, in this paper, we present a novel quasi-static scheduling algorithm. Based on a more accurate performance yield estimation method, a set of variation-aware schedules is synthesized off-line and, at run time, the scheduler will select the right one based on the actual v...
Lin Huang, Qiang Xu
Added 15 Aug 2010
Updated 15 Aug 2010
Type Conference
Year 2010
Where DAC
Authors Lin Huang, Qiang Xu
Comments (0)