Sciweavers

ICCD
2007
IEEE

Continual hashing for efficient fine-grain state inconsistency detection

13 years 8 months ago
Continual hashing for efficient fine-grain state inconsistency detection
Transaction-level modeling (TLM) allows a designer to save functional verification effort during the modular refinement of an SoC by reusing the prior implementation of a module as a golden model for state inconsistency detection. One problem in simulation-based verification is the performance and bandwidth overhead of state dump and comparison between two models. In this paper, we propose an efficient fine-grain state inconsistency detection technique that checks the consistency of two states of arbitrary size at subtransaction (tick) granularity using incremental hashes. At each tick, the hash generates a signature of the entire state, which can be efficiently updated and compared. We evaluate the proposed signature scheme with a FIR filter and a Vorbis decoder and show that very fine-grain state consistency checking is feasible. The hash signature checking in
Jae W. Lee, Myron King, Krste Asanovic
Added 16 Aug 2010
Updated 25 Apr 2012
Type Conference
Year 2007
Where ICCD
Authors Jae W. Lee, Myron King, Krste Asanovic
Comments (0)