Sciweavers

ISCA
2011
IEEE

CPPC: correctable parity protected cache

12 years 8 months ago
CPPC: correctable parity protected cache
Due to shrinking feature sizes processors are becoming more vulnerable to soft errors. Write-back caches are particularly vulnerable since they hold dirty data that do not exist in other memory levels. While conventional error correcting codes can protect write-back caches, it has been shown that they are expensive in terms of area and power. This paper proposes a new reliable write-back cache called Correctable Parity Protected Cache (CPPC) which adds error correction capability to a parityprotected cache. For this purpose, CPPC augments a write-back parity-protected cache with two registers: the first register stores the XOR of all data written to the cache and the second register stores the XOR of all dirty data that are removed from the cache. CPPC relies on parity to detect a fault and then on the two XOR registers to correct faults. By a novel combination of byte shifting and parity interleaving CPPC corrects both single and spatial multi-bit faults to provide a high degree of r...
Mehrtash Manoochehri, Murali Annavaram, Michel Dub
Added 21 Aug 2011
Updated 21 Aug 2011
Type Journal
Year 2011
Where ISCA
Authors Mehrtash Manoochehri, Murali Annavaram, Michel Dubois
Comments (0)