Sciweavers

ISPASS
2006
IEEE

Branch trace compression for snapshot-based simulation

13 years 11 months ago
Branch trace compression for snapshot-based simulation
We present a scheme to compress branch trace information for use in snapshot-based microarchitecture simulation. The compressed trace can be used to warm any arbitrary branch predictor’s state before detailed microarchitecture simulation of the snapshot. We show that compressed branch traces require less space than snapshots of concrete predictor state. Our branch-predictor based compression (BPC) technique uses a software branch predictor to provide an accurate model of the input branch trace, requiring only mispredictions to be stored in the compressed trace file. The decompressor constructs a matching software branch predictor to help reconstruct the original branch trace from the record of mispredictions. Evaluations using traces from the Journal of ILP branch predictor competition show we achieve compression rates of 0.013–0.72 bits/branch (depending on workload), which is up to 210× better than gzip; up to 52× better than the best general-purpose compression techniques; a...
Kenneth C. Barr, Krste Asanovic
Added 12 Jun 2010
Updated 12 Jun 2010
Type Conference
Year 2006
Where ISPASS
Authors Kenneth C. Barr, Krste Asanovic
Comments (0)