Sciweavers

EGH
2004
Springer

A flexible simulation framework for graphics architectures

13 years 10 months ago
A flexible simulation framework for graphics architectures
In this paper we describe a multipurpose tool for analysis of the performance characteristics of computer graphics hardware and software. We are developing Qsilver, a highly configurable micro-architectural simulator of the GPU that uses the Chromium system’s ability to intercept and redirect an OpenGL stream. The simulator produces an annotated trace of graphics commands using Chromium, then runs the trace through a cycle-timer model to evaluate time-dependent behaviors of the various functional units. We demonstrate the use of Qsilver on a simple hypothetical architecture to analyze performance bottlenecks, to explore new GPU microarchitectures, and to model power and leakage properties. One innovation we explore is the use of dynamic voltage scaling across multiple clock domains to achieve significant energy savings at almost negligible performance cost. Finally, we discuss how other architectural features and experiments might be incorporated into the Qsilver framework. Catego...
Jeremy W. Sheaffer, David P. Luebke, Kevin Skadron
Added 01 Jul 2010
Updated 01 Jul 2010
Type Conference
Year 2004
Where EGH
Authors Jeremy W. Sheaffer, David P. Luebke, Kevin Skadron
Comments (0)