Sciweavers

MICRO
2005
IEEE

A Mechanism for Online Diagnosis of Hard Faults in Microprocessors

13 years 10 months ago
A Mechanism for Online Diagnosis of Hard Faults in Microprocessors
We develop a microprocessor design that tolerates hard faults, including fabrication defects and in-field faults, by leveraging existing microprocessor redundancy. To do this, we must: detect and correct errors, diagnose hard faults at the field deconfigurable unit (FDU) granularity, and deconfigure FDUs with hard faults. In our reliable microprocessor design, we use DIVA dynamic verification to detect and correct errors. Our new scheme for diagnosing hard faults tracks instructions’ core structure occupancy from decode until commit. If a DIVA checker detects an error in an instruction, it increments a small saturating error counter for every FDU used by that instruction, including that DIVA checker. A hard fault in an FDU quickly leads to an above-threshold error counter for that FDU and thus diagnoses the fault. For deconfiguration, we use previously developed schemes for functional units and buffers, and we present a scheme for deconfiguring DIVA checkers. Experimental res...
Fred A. Bower, Daniel J. Sorin, Sule Ozev
Added 25 Jun 2010
Updated 25 Jun 2010
Type Conference
Year 2005
Where MICRO
Authors Fred A. Bower, Daniel J. Sorin, Sule Ozev
Comments (0)