Sciweavers

DATE
2009
IEEE

Program phase and runtime distribution-aware online DVFS for combined Vdd/Vbb scaling

13 years 11 months ago
Program phase and runtime distribution-aware online DVFS for combined Vdd/Vbb scaling
Abstract—Complex software programs are mostly characterized by phase behavior and runtime distributions. Due to the dynamism of the two characteristics, it is not efficient to make workload predictions during design-time. In our work, we present a novel online DVFS method that exploits both phase behavior and runtime distribution during runtime in combined Vdd/Vbb scaling. The presented method performs a bi-modal analysis of runtime distribution, and then a runtime distribution-aware workload prediction based on the analysis. In order to minimize the runtime overhead of the sophisticated workload prediction method, it performs table lookups to the pre-characterized data during runtime without compromising the quality of energy reduction. It also offers a new concept of program phase suitable for DVFS. Experiments show the effectiveness of the presented method in the case of H.264 decoder with two sets of long-term scenarios consisting of total 4655 frames. It offers 6.6% ∼ 33.5% r...
Jungsoo Kim, Sungjoo Yoo, Chong-Min Kyung
Added 20 May 2010
Updated 20 May 2010
Type Conference
Year 2009
Where DATE
Authors Jungsoo Kim, Sungjoo Yoo, Chong-Min Kyung
Comments (0)