Sciweavers

ASPLOS
2010
ACM

Shoestring: probabilistic soft error reliability on the cheap

13 years 11 months ago
Shoestring: probabilistic soft error reliability on the cheap
Aggressive technology scaling provides designers with an ever increasing budget of cheaper and faster transistors. Unfortunately, this trend is accompanied by a decline in individual device reliability as transistors become increasingly susceptible to soft errors. We are quickly approaching a new era where resilience to soft errors is no longer a luxury that can be reserved for just processors in high-reliability, mission-critical domains. Even processors used in mainstream computing will soon require protection. However, due to tighter profit margins, reliable operation for these devices must come at little or no cost. This paper presents Shoestring, a minimally invasive software solution that provides high soft error coverage with very little overhead, enabling its deployment even in commodity processors with “shoestring” reliability budgets. Leveraging intelligent analysis at compile time, and exploiting low-cost, symptom-based error detection, Shoestring is able to focus its ...
Shuguang Feng, Shantanu Gupta, Amin Ansari, Scott
Added 17 May 2010
Updated 17 May 2010
Type Conference
Year 2010
Where ASPLOS
Authors Shuguang Feng, Shantanu Gupta, Amin Ansari, Scott A. Mahlke
Comments (0)