Sciweavers

ICCD
2002
IEEE

Speculative Trace Scheduling in VLIW Processors

14 years 15 days ago
Speculative Trace Scheduling in VLIW Processors
VLIW processors are statically scheduled processors and their performance depends on the quality of the compiler’s scheduler. We propose a scheduling scheme where the application is first divided into decision trees and then further split into traces. We have developed a tool “SpliTree” to generate traces automatically. Using dynamic branch prediction for selecting the root of the decision tree from which the traces are scheduled using
Manvi Agarwal, S. K. Nandy, Jos T. J. van Eijndhov
Added 16 Mar 2010
Updated 16 Mar 2010
Type Conference
Year 2002
Where ICCD
Authors Manvi Agarwal, S. K. Nandy, Jos T. J. van Eijndhoven, S. Balakrishnan
Comments (0)