Sciweavers

ISVLSI
2008
IEEE

Standard Cell Like Via-Configurable Logic Block for Structured ASICs

13 years 11 months ago
Standard Cell Like Via-Configurable Logic Block for Structured ASICs
A structured ASIC has some arrays of pre-fabricated yet configurable logic blocks (CLBs) with/without a regular routing fabric. In this paper, we propose a standard cell like via-configurable logic block (VCLB). We design a 0.18um standard cell library based on our VCLB and establish a design flow using as many commercial tools as possible. We also propose a method to evaluate the viability of a structured ASIC fabric. Our structured ASIC fabric with programmable metals for routing achieves a delay of 2.7
Mei-Chen Li, Hui-Hsiang Tung, Chien-Chung Lai, Run
Added 31 May 2010
Updated 31 May 2010
Type Conference
Year 2008
Where ISVLSI
Authors Mei-Chen Li, Hui-Hsiang Tung, Chien-Chung Lai, Rung-Bin Lin
Comments (0)