Sciweavers

FPL
2009
Springer

Towards a viable out-of-order soft core: Copy-Free, checkpointed register renaming

13 years 9 months ago
Towards a viable out-of-order soft core: Copy-Free, checkpointed register renaming
As a step torward a viable, single-issue out-of-order soft core, this work presents Copy-Free Checkpointing (CFC), an FPGA-friendly register renaming design. CFC supports speculative execution by implementing checkpoint recovery. Compared against the best conventional register renaming implementation CFC requires 7.5x to 6.4x fewer LUTs and is at least 10% faster.
Kaveh Aasaraai, Andreas Moshovos
Added 24 Jul 2010
Updated 24 Jul 2010
Type Conference
Year 2009
Where FPL
Authors Kaveh Aasaraai, Andreas Moshovos
Comments (0)