Sciweavers

WMPI
2004
ACM

Understanding the effects of wrong-path memory references on processor performance

13 years 9 months ago
Understanding the effects of wrong-path memory references on processor performance
High-performance out-of-order processors spend a significant portion of their execution time on the incorrect program path even though they employ aggressive branch prediction algorithms. Although memory references generated on the wrong path do not change the architectural state of the processor, they can affect the arrangement of data in the memory hierarchy. This paper examines the effects of wrong-path memory references on processor performance. It is shown that these references significantly affect the IPC (Instructions Per Cycle) performance of a processor. Not modeling them can lead to errors of up to 10% in IPC estimates for the SPEC2000 integer benchmarks; 7 out of 12 benchmarks experience an error of greater than 2% in IPC estimates. In general, the error in the IPC increases with increasing memory latency and instruction window size. We find that wrong-path references are usually beneficial for performance, because they prefetch data that will be used by later correc...
Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale
Added 30 Jun 2010
Updated 30 Jun 2010
Type Conference
Year 2004
Where WMPI
Authors Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt
Comments (0)