Sciweavers

16 search results - page 1 / 4
» A Framework for Self-Healing Radiation-Tolerant Implementati...
Sort
View
DDECS
2007
IEEE
140views Hardware» more  DDECS 2007»
13 years 11 months ago
A Framework for Self-Healing Radiation-Tolerant Implementations on Reconfigurable FPGAs
— To increase the amount of logic available in SRAM-based FPGAs manufacturers are using nanometric technologies to boost logic density and reduce prices. However, nanometric scal...
Manuel G. Gericota, Luís F. Lemos, Gustavo ...
IOLTS
2007
IEEE
124views Hardware» more  IOLTS 2007»
13 years 11 months ago
On-Line Self-Healing of Circuits Implemented on Reconfigurable FPGAs
i To boost logic density and reduce per unit power consumption SRAM-based FPGAs manufacturers adopted nanometric technologies. However, this technology is highly vulnerable to radi...
Manuel G. Gericota, Luís F. Lemos, Gustavo ...
ICWS
2008
IEEE
13 years 6 months ago
A QoS-Oriented Reconfigurable Middleware for Self-Healing Web Services
Maintaining the Quality of Service (QoS) is important for self-healing web service-based distributed interactive applications. It requires the ability to deal with permanently cha...
Riadh Ben Halima, Khalil Drira, Mohamed Jmaiel
FCCM
2009
IEEE
170views VLSI» more  FCCM 2009»
13 years 2 months ago
Generic Software Framework for Adaptive Applications on FPGAs
Adaptive systems are set to become more mainstream, as numerous practical applications in the communications domain emerge. FPGAs offer an ideal implementation platform, combining...
Suhaib A. Fahmy, Jorg Lotze, Juanjo Noguera, Linda...
TVLSI
2010
12 years 11 months ago
Exploration of Heterogeneous FPGAs for Mapping Linear Projection Designs
In many applications, a reduction of the amount of the original data or a representation of the original data by a small set of variables is often required. Among many techniques, ...
Christos-Savvas Bouganis, Iosifina Pournara, Peter...