Sciweavers

5 search results - page 1 / 1
» A methodology for correct-by-construction latency insensitiv...
Sort
View
ICCAD
1999
IEEE
97views Hardware» more  ICCAD 1999»
13 years 9 months ago
A methodology for correct-by-construction latency insensitive design
In Deep Sub-Micron (DSM) designs, performance will depend critically on the latency of long wires. We propose a new synthesis methodology for synchronous systems that makes the de...
Luca P. Carloni, Kenneth L. McMillan, Alexander Sa...
MEMOCODE
2007
IEEE
13 years 11 months ago
Design, Implementation, and Validation of a New Class of Interface Circuits for Latency-Insensitive Design
—With the arrival of nanometer technologies wire delays are no longer negligible with respect to gate delays, and timing-closure becomes a major challenge to System-on-Chip desig...
Cheng-Hong Li, Rebecca L. Collins, Sampada Sonalka...
DATE
2005
IEEE
97views Hardware» more  DATE 2005»
13 years 10 months ago
Synchronization Processor Synthesis for Latency Insensitive Systems
In this paper we present our contribution in terms of synchronization processor for a SoC design methodology based on the theory of the latency insensitive systems (LIS) of Carlon...
Pierre Bomel, Eric Martin, Emmanuel Boutillon
CAV
1999
Springer
92views Hardware» more  CAV 1999»
13 years 9 months ago
Latency Insensitive Protocols
The theory of latency insensitive design is presented as the foundation of a new correct by construction methodology to design very large digital systems by assembling blocks of In...
Luca P. Carloni, Kenneth L. McMillan, Alberto L. S...
DATE
2004
IEEE
126views Hardware» more  DATE 2004»
13 years 8 months ago
Generalized Latency-Insensitive Systems for Single-Clock and Multi-Clock Architectures
Latency-insensitive systems were recently proposed by Carloni et al. as a correct-by-construction methodology for single-clock system-on-a-chip (SoC) design using predesigned IP b...
Montek Singh, Michael Theobald