Sciweavers

28 search results - page 2 / 6
» An analytic placer for mixed-size placement and timing-drive...
Sort
View
ICCAD
2004
IEEE
134views Hardware» more  ICCAD 2004»
14 years 2 months ago
An analytic placer for mixed-size placement and timing-driven placement
We extend the APlace wirelength-driven standard-cell analytic placement framework of [21] to address timing-driven and mixedsize (“boulders and dust”) placement. Compared with...
Andrew B. Kahng, Qinke Wang
ICCAD
2008
IEEE
97views Hardware» more  ICCAD 2008»
14 years 2 months ago
Guiding global placement with wire density
—This paper presents an efficient technique for the estimation of the routed wirelength during global placement using the wire density of the net. The proposed method identifie...
Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Bala...
ICCAD
2006
IEEE
129views Hardware» more  ICCAD 2006»
13 years 11 months ago
A high-quality mixed-size analytical placer considering preplaced blocks and density constraints
In addition to wirelength, modern placers need to consider various constraints such as preplaced blocks and density. We propose a high-quality analytical placement algorithm consi...
Tung-Chieh Chen, Zhe-Wei Jiang, Tien-Chang Hsu, Hs...
ISPD
2006
ACM
102views Hardware» more  ISPD 2006»
13 years 11 months ago
A faster implementation of APlace
APlace is a high quality, scalable analytical placer. This paper describes our recent efforts to improve APlace for speed and scalability. We explore various wirelength and densi...
Andrew B. Kahng, Qinke Wang
ISPD
2005
ACM
249views Hardware» more  ISPD 2005»
13 years 10 months ago
APlace: a general analytic placement framework
We streamline and extend APlace, the general analytic placement engine based on ideas of Naylor et al. [7] and described in [3, 4, 5]. Previous work explored the adaptability of A...
Andrew B. Kahng, Sherief Reda, Qinke Wang