Sciweavers

24 search results - page 3 / 5
» An efficient heterogeneous reconfigurable functional unit fo...
Sort
View
CODES
2011
IEEE
12 years 5 months ago
Adaptive resource management for simultaneous multitasking in mixed-grained reconfigurable multi-core processors
We propose a novel scheme for run-time management of mixedgrained reconfigurable fabric for the purpose of simultaneous multi-tasking in multi-core reconfigurable processors. Trad...
Waheed Ahmed, Muhammad Shafique, Lars Bauer, J&oum...
DAC
2002
ACM
14 years 6 months ago
Exploiting operation level parallelism through dynamically reconfigurable datapaths
Increasing non-recurring engineering (NRE) and mask costs are making it harder to turn to hardwired Application Specific Integrated Circuit (ASIC) solutions for high performance a...
Zhining Huang, Sharad Malik
RSP
1999
IEEE
128views Control Systems» more  RSP 1999»
13 years 9 months ago
3-D Floorplanning: Simulated Annealing and Greedy Placement Methods for Reconfigurable Computing Systems
The advances in the programmable hardware has lead to new architectures where the hardware can be dynamically adapted to the application to gain better performance. There are stil...
Kia Bazargan, Ryan Kastner, Majid Sarrafzadeh
MOBICOM
1997
ACM
13 years 9 months ago
Dynamic Network Reconfiguration Support for Mobile Computers
Hot swapping technology combined with pervasive heterogeneous networks empowers mobile laptop users to select the best network device for their current environment. Unfortunately,...
Jon Inouye, Jim Binkley, Jonathan Walpole
ERSA
2007
177views Hardware» more  ERSA 2007»
13 years 7 months ago
Energy-Aware System Synthesis for Reconfigurable Chip Multiprocessors
- Even though state-of-the-art FPGAs present new opportunities in exploring low-cost high-performance architectures for floating-point scientific applications, they also pose serio...
Xiaofang Wang, Sotirios G. Ziavras, Jie Hu