Sciweavers

67 search results - page 1 / 14
» An integrated floorplanning with an efficient buffer plannin...
Sort
View
ISPD
2003
ACM
103views Hardware» more  ISPD 2003»
13 years 10 months ago
An integrated floorplanning with an efficient buffer planning algorithm
Previous works on buffer planning are mainly based on fixed die placement. It is necessary to reduce the complexity of computing the feasible buffer insertion sites to integrate t...
Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, ...
ISQED
2009
IEEE
94views Hardware» more  ISQED 2009»
13 years 11 months ago
Simultaneous buffer and interlayer via planning for 3D floorplanning
As technology advances, the interconnect delay among modules plays dominant role in chip performance. Buffer insertion, as a traditional approach to reduce wire delay in 2D ICs, i...
Xu He, Sheqin Dong, Yuchun Ma, Xianlong Hong
ICCAD
1999
IEEE
98views Hardware» more  ICCAD 1999»
13 years 9 months ago
Buffer block planning for interconnect-driven floorplanning
This paper studies buffer block planning for interconnect-driven floorplanning in deep submicron designs. We first introduce the concept of feasible region (FR) for buffer inserti...
Jason Cong, Tianming Kong, David Zhigang Pan
ISCAS
2003
IEEE
113views Hardware» more  ISCAS 2003»
13 years 10 months ago
Tile-graph-based power planning
In this paper, we introduce a tile-graph-based approach to power planning. For a given flooplan solution, the power inputs are modeled into a tile graph, the minimum capacity of e...
Jyh Perng Fang, Sao Jie Chen
ICCAD
2004
IEEE
138views Hardware» more  ICCAD 2004»
14 years 1 months ago
A thermal-driven floorplanning algorithm for 3D ICs
As the technology progresses, interconnect delays have become bottlenecks of chip performance. Three dimensional (3D) integrated circuits are proposed as one way to address this p...
Jason Cong, Jie Wei, Yan Zhang