Sciweavers

ISQED
2009
IEEE

Simultaneous buffer and interlayer via planning for 3D floorplanning

13 years 11 months ago
Simultaneous buffer and interlayer via planning for 3D floorplanning
As technology advances, the interconnect delay among modules plays dominant role in chip performance. Buffer insertion, as a traditional approach to reduce wire delay in 2D ICs, is still necessary in 3D ICs to further optimize interconnects. Since those cross multi-layer nets in 3D ICs need to go through vertical interlayer via, the traditional buffer planning turns into simultaneous buffer and interlayer via planning in 3D ICs. In this paper, we give an efficient buffer and interlayer via planning algorithm with linear complexity, which make sure buffer and interlayer via are inserted as successfully as possible. Experimental results show that 3D ICs can significantly improve the interconnect delay. Keywords 3D ICs, interlayer via, buffer, floorplanning
Xu He, Sheqin Dong, Yuchun Ma, Xianlong Hong
Added 19 May 2010
Updated 19 May 2010
Type Conference
Year 2009
Where ISQED
Authors Xu He, Sheqin Dong, Yuchun Ma, Xianlong Hong
Comments (0)