Sciweavers

27 search results - page 1 / 6
» Automated microprocessor stressmark generation
Sort
View
HPCA
2008
IEEE
14 years 5 months ago
Automated microprocessor stressmark generation
Estimating the maximum power and thermal characteristics of a processor is essential for designing its power delivery system, packaging, cooling, and power/thermal management sche...
Ajay M. Joshi, Lieven Eeckhout, Lizy Kurian John, ...
MICRO
2010
IEEE
153views Hardware» more  MICRO 2010»
13 years 2 months ago
AVF Stressmark: Towards an Automated Methodology for Bounding the Worst-Case Vulnerability to Soft Errors
Soft error reliability is increasingly becoming a first-order design concern for microprocessors, as a result of higher transistor counts, shrinking device geometries and lowering ...
Arun A. Nair, Lizy Kurian John, Lieven Eeckhout
HIPEAC
2009
Springer
13 years 11 months ago
Finding Stress Patterns in Microprocessor Workloads
Power consumption has emerged as a key design concern across the entire computing range, from low-end embedded systems to high-end supercomputers. Understanding the power character...
Frederik Vandeputte, Lieven Eeckhout
DAC
2003
ACM
14 years 5 months ago
A 1.3GHz fifth generation SPARC64 microprocessor
Hisashige Ando, Yuuji Yoshida, Aiichiro Inoue, Its...
HPCA
2003
IEEE
14 years 5 months ago
Control Techniques to Eliminate Voltage Emergencies in High Performance Processors
Increasing focus on power dissipation issues in current microprocessors has led to a host of proposals for clock gating and other power-saving techniques. While generally effectiv...
Russ Joseph, David Brooks, Margaret Martonosi