Sciweavers

266 search results - page 2 / 54
» Common-Case Computation: A High-Level Technique for Power an...
Sort
View
VLSID
2009
IEEE
155views VLSI» more  VLSID 2009»
14 years 6 months ago
Unified Challenges in Nano-CMOS High-Level Synthesis
: The challenges in nano-CMOS circuit design include the following: variability, leakage, power, thermals, reliability, and yield. This talk will focus on interdependent considerat...
Saraju P. Mohanty
VLSID
2002
IEEE
105views VLSI» more  VLSID 2002»
14 years 5 months ago
A Heuristic for Clock Selection in High-Level Synthesis
Clock selection has a significant impact on the performance and quality of designs in high-level synthesis. In most synthesis systems, a convenient value of the clock is chosen or...
J. Ramanujam, Sandeep Deshpande, Jinpyo Hong, Mahm...
ISLPED
2005
ACM
111views Hardware» more  ISLPED 2005»
13 years 11 months ago
Peak temperature control and leakage reduction during binding in high level synthesis
Temperature is becoming a first rate design criterion in ASICs due to its negative impact on leakage power, reliability, performance, and packaging cost. Incorporating awareness o...
Rajarshi Mukherjee, Seda Ogrenci Memik, Gokhan Mem...
SASO
2008
IEEE
13 years 11 months ago
Cells Are Plausible Targets for High-Level Spatial Languages
—High level languages greatly increase the power of a programmer at the cost of programs that consume more s than those written at a lower level of abstraction. This inefficienc...
Jacob Beal, Jonathan Bachrach
ISLPED
2003
ACM
96views Hardware» more  ISLPED 2003»
13 years 10 months ago
Effective graph theoretic techniques for the generalized low power binding problem
This paper proposes two very fast graph theoretic heuristics for the low power binding problem given fixed number of resources and multiple architectures for the resources. First...
Azadeh Davoodi, Ankur Srivastava