Sciweavers

4 search results - page 1 / 1
» Discrete Vt assignment and gate sizing using a self-snapping...
Sort
View
ICCAD
2005
IEEE
79views Hardware» more  ICCAD 2005»
14 years 1 months ago
Discrete Vt assignment and gate sizing using a self-snapping continuous formulation
Saumil Shah, Ashish Srivastava, Dushyant Sharma, D...
ICCAD
2009
IEEE
161views Hardware» more  ICCAD 2009»
13 years 2 months ago
The epsilon-approximation to discrete VT assignment for leakage power minimization
As VLSI technology reaches 45nm technology node, leakage power optimization has become a major design challenge. Threshold voltage (vt) assignment has been extensively studied, du...
Yujia Feng, Shiyan Hu
ASPDAC
2008
ACM
122views Hardware» more  ASPDAC 2008»
13 years 6 months ago
Total power optimization combining placement, sizing and multi-Vt through slack distribution management
Power dissipation is quickly becoming one of the most important limiters in nanometer IC design for leakage increases exponentially as the technology scaling down. However, power ...
Tao Luo, David Newmark, David Z. Pan