Sciweavers

76 search results - page 3 / 16
» Dynamic thermal management in 3D multicore architectures
Sort
View
ISLPED
2009
ACM
123views Hardware» more  ISLPED 2009»
13 years 10 months ago
Predict and act: dynamic thermal management for multi-core processors
In this paper, we propose a proactive dynamic thermal management scheme for chip multiprocessors that run multi-threaded workloads. We introduce a new predictor that utilizes the ...
Raid Zuhair Ayoub, Tajana Simunic Rosing
APPT
2009
Springer
14 years 7 days ago
A Fast Scheme to Investigate Thermal-Aware Scheduling Policy for Multicore Processors
Abstract. With more cores integrated into one single chip, the overall power consumption from the multiple concurrent running programs increases dramatically in a CMP processor whi...
Liqiang He, Cha Narisu
GLVLSI
2009
IEEE
262views VLSI» more  GLVLSI 2009»
13 years 9 months ago
Central vs. distributed dynamic thermal management for multi-core processors: which one is better?
Michael Kadin, Sherief Reda, Augustus K. Uht
DAC
2009
ACM
14 years 6 months ago
Exploring serial vertical interconnects for 3D ICs
Three-dimensional integrated circuits (3D ICs) offer a promising solution to overcome the on-chip communication bottleneck and improve performance over traditional two-dimensional...
Sudeep Pasricha
MICRO
1999
IEEE
138views Hardware» more  MICRO 1999»
13 years 10 months ago
Dynamic 3D Graphics Workload Characterization and the Architectural Implications
Although PC-class 3D graphics hardware has made significant strides in the last several years, the underlying architectural design principles are still generally considered as a b...
Tulika Mitra, Tzi-cker Chiueh