Sciweavers

1054 search results - page 1 / 211
» Fast Simulation Techniques for Design Space Exploration
Sort
View
TOOLS
2009
IEEE
14 years 4 days ago
Fast Simulation Techniques for Design Space Exploration
— In this paper, we present our current work on a UML based environment providing efficient means for system
Daniel Knorreck, Ludovic Apvrille, Renaud Pacalet
CODES
2008
IEEE
13 years 12 months ago
Static analysis for fast and accurate design space exploration of caches
Application-specific system-on-chip platforms create the opportunity to customize the cache configuration for optimal performance with minimal chip estate. Simulation, in partic...
Yun Liang, Tulika Mitra
ASPLOS
2006
ACM
13 years 9 months ago
Efficiently exploring architectural design spaces via predictive modeling
Architects use cycle-by-cycle simulation to evaluate design choices and understand tradeoffs and interactions among design parameters. Efficiently exploring exponential-size desig...
Engin Ipek, Sally A. McKee, Rich Caruana, Bronis R...
ICCD
2007
IEEE
139views Hardware» more  ICCD 2007»
14 years 2 months ago
Statistical simulation of chip multiprocessors running multi-program workloads
This paper explores statistical simulation as a fast simulation technique for driving chip multiprocessor (CMP) design space exploration. The idea of statistical simulation is to ...
Davy Genbrugge, Lieven Eeckhout
ISCAS
2008
IEEE
123views Hardware» more  ISCAS 2008»
13 years 11 months ago
Design space exploration of low-phase-noise LC-VCO using multiple-divide technique
— This paper proposes a multiple-divide technique using by-2, by-3, and by-4 frequency dividers to realize a lower phase-noise LC-VCO, and explores the design space of low-phasen...
Shoichi Hara, Takeshi Ito, Kenichi Okada, Akira Ma...