Sciweavers

5 search results - page 1 / 1
» Integrating buffer planning with floorplanning for simultane...
Sort
View
ASPDAC
2004
ACM
85views Hardware» more  ASPDAC 2004»
13 years 10 months ago
Integrating buffer planning with floorplanning for simultaneous multi-objective optimization
As the process technology advances into the deep submicron era, interconnect plays a dominant role in determining circuit performance and signal integrity. Buffer insertion is one...
Yi-Hui Cheng, Yao-Wen Chang
ISPD
2003
ACM
103views Hardware» more  ISPD 2003»
13 years 10 months ago
An integrated floorplanning with an efficient buffer planning algorithm
Previous works on buffer planning are mainly based on fixed die placement. It is necessary to reduce the complexity of computing the feasible buffer insertion sites to integrate t...
Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, ...
ISQED
2009
IEEE
94views Hardware» more  ISQED 2009»
13 years 11 months ago
Simultaneous buffer and interlayer via planning for 3D floorplanning
As technology advances, the interconnect delay among modules plays dominant role in chip performance. Buffer insertion, as a traditional approach to reduce wire delay in 2D ICs, i...
Xu He, Sheqin Dong, Yuchun Ma, Xianlong Hong
ICCAD
2002
IEEE
100views Hardware» more  ICCAD 2002»
13 years 9 months ago
Optimal buffered routing path constructions for single and multiple clock domain systems
Shrinking process geometries and the increasing use of IP components in SoC designs give rise to new problems in routing and buffer insertion. A particular concern is that cross-c...
Soha Hassoun, Charles J. Alpert, Meera Thiagarajan
WSC
1998
13 years 6 months ago
Use of Simulation in Optimization of Maintenance Policies
Selecting an optimum maintenance policy independent of other parameters of the production system does not always yield the overall optimum operating conditions. For instance, high...
Farhad Azadivar, J. Victor Shu