Sciweavers

27 search results - page 3 / 6
» Modular Model Checking of Large Asynchronous Designs with Ef...
Sort
View
ICCD
2007
IEEE
140views Hardware» more  ICCD 2007»
13 years 9 months ago
Continual hashing for efficient fine-grain state inconsistency detection
Transaction-level modeling (TLM) allows a designer to save functional verification effort during the modular refinement of an SoC by reusing the prior implementation of a module a...
Jae W. Lee, Myron King, Krste Asanovic
DAC
2005
ACM
14 years 6 months ago
Word level predicate abstraction and refinement for verifying RTL verilog
el Predicate Abstraction and Refinement for Verifying RTL Verilog Himanshu Jain CMU SCS, Pittsburgh, PA 15213 Daniel Kroening ETH Z?urich, Switzerland Natasha Sharygina CMU SCS an...
Himanshu Jain, Daniel Kroening, Natasha Sharygina,...
SIGSOFT
2003
ACM
14 years 6 months ago
Bogor: an extensible and highly-modular software model checking framework
Model checking is emerging as a popular technology for reasoning about behavioral properties of a wide variety of software artifacts including: requirements models, architectural ...
Robby, Matthew B. Dwyer, John Hatcliff
ENTCS
2006
134views more  ENTCS 2006»
13 years 5 months ago
Computing Over-Approximations with Bounded Model Checking
Bounded Model Checking (BMC) searches for counterexamples to a property with a bounded length k. If no such counterexample is found, k is increased. This process terminates when ...
Daniel Kroening
CAV
2007
Springer
114views Hardware» more  CAV 2007»
13 years 9 months ago
Configurable Software Verification: Concretizing the Convergence of Model Checking and Program Analysis
In automatic software verification, we have observed a theoretical convergence of model checking and program analysis. In practice, however, model checkers are still mostly concern...
Dirk Beyer, Thomas A. Henzinger, Grégory Th...