Sciweavers

11 search results - page 1 / 3
» Place and route considerations for voltage interpolated desi...
Sort
View
ISQED
2009
IEEE
70views Hardware» more  ISQED 2009»
13 years 11 months ago
Place and route considerations for voltage interpolated designs
— Voltage interpolation is a promising post fabrication technique for combating the effects of process variations. The benefits of voltage interpolation are well understood. It...
Kevin Brownell, Ali Durlov Khan, David Brooks, Gu-...
ISPD
1999
ACM
89views Hardware» more  ISPD 1999»
13 years 9 months ago
VIA design rule consideration in multi-layer maze routing algorithms
—Maze routing algorithms are widely used for finding an optimal path in detailed routing for very large scale integration, printed circuit board and multichip modules In this pap...
Jason Cong, Jie Fang, Kei-Yong Khoo
ICCAD
2008
IEEE
99views Hardware» more  ICCAD 2008»
14 years 1 months ago
Evaluation of voltage interpolation to address process variations
Abstract— Post-fabrication tuning provides a promising design approach to mitigate the performance and power overheads of process variation in advanced fabrication technologies. ...
Kevin Brownell, Gu-Yeon Wei, David Brooks
ICCAD
2007
IEEE
144views Hardware» more  ICCAD 2007»
14 years 1 months ago
Voltage island-driven floorplanning
— Energy efficiency has become one of the most important issues to be addressed in today’s System-on-a-Chip (SoC) designs. One way to lower the power consumption is to reduce ...
Qiang Ma, Evangeline F. Y. Young
EH
2004
IEEE
115views Hardware» more  EH 2004»
13 years 8 months ago
Intrinsic Evolution of Digital-to-Analog Converters Using a CMOS FPTA Chip
The work presented here tackles the problem of designing a unipolar 6-bit digital-to-analog converter (DAC) with a voltage mode output by hardware evolution. Thereby a Field Progr...
Jörg Langeheine, Karlheinz Meier, Johannes Sc...