Sciweavers

23 search results - page 3 / 5
» Power distribution paths in 3-D ICS
Sort
View
GLVLSI
2006
IEEE
119views VLSI» more  GLVLSI 2006»
13 years 11 months ago
Thermal analysis of a 3D die-stacked high-performance microprocessor
3-dimensional integrated circuit (3D IC) technology places circuit blocks in the vertical dimension in addition to the conventional horizontal plane. Compared to conventional plan...
Kiran Puttaswamy, Gabriel H. Loh
ICCAD
2005
IEEE
118views Hardware» more  ICCAD 2005»
14 years 2 months ago
Thermal via planning for 3-D ICs
Heat dissipation is one of the most serious challenges in 3D IC designs. One effective way of reducing circuit temperature is to introduce thermal through-the-silicon (TTS) vias....
Jason Cong, Yan Zhang
ICPP
2007
IEEE
13 years 11 months ago
Tightly-Coupled Multi-Layer Topologies for 3-D NoCs
Three-dimensional Network-on-Chip (3-D NoC) is an emerging research topic exploring the network architecture of 3-D ICs that stack several smaller wafers for reducing wire length ...
Hiroki Matsutani, Michihiro Koibuchi, Hideharu Ama...
NOCS
2010
IEEE
13 years 3 months ago
Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems
—Three-dimensional network-on-chip (3D NoC), the combination of NoC and die-stacking 3D IC technology, is motivated to achieve lower latency, lower power consumption, and higher ...
Chih-Hao Chao, Kai-Yuan Jheng, Hao-Yu Wang, Jia-Ch...
JETC
2008
127views more  JETC 2008»
13 years 3 months ago
Automated module assignment in stacked-Vdd designs for high-efficiency power delivery
With aggressive reductions in feature sizes and the integration of multiple functionalities on the same die, bottlenecks due to I/O pin limitations have become a severe issue in to...
Yong Zhan, Sachin S. Sapatnekar